Home / ECE / Digital Electronics :: Discussion

Discussion :: Digital Electronics

  1. An 8 bit transistor register has output voltage of low-high-low-high-low-high-low-high. The decimal number stored is

  2. A.
    105
    B.
    95
    C.
    85
    D.
    75

    View Answer

    Workspace

    Answer : Option C

    Explanation :

    01010101 in binary and 64 + 16 + 4 + 1 = 85 in decimal.


Be The First To Comment